Background, Motivation, and Objectives

Electronic design automation (EDA) of very large-scale integrated (VLSI) circuits and systems is an important field in computer science and engineering.  It has made a significant impact on the development of information technology-in particular in supporting the successful scaling of  Moore's Law over the past 40 years, which in turn has created the performance and cost-efficient information technology infrastructure that has transformed our lives and all of society.  The success of the EDA field is inspiring for multiple reasons:

For these reasons, our first objective is to reflect on the success of the EDA field and how its practices and methodologies can further influence other fields of computer science and application domains.

At the same time, the EDA field is facing serious challenges.  For example, Non-Recurring Engineering (NRE) costs associated with VLSI circuit design are skyrocketing with estimates of over $30M per ASIC design undertaken.  The rapid increase in the number of transistors available on a single chip leads to system-on-chip integration, with complex interactions between software and hardware, digital and analog, etc.  Moreover, the field of applications enabled by semiconductor technology is growing at a rapid rate-ranging from very high performance microprocessors and signal processors to a broad array of low-power portable devices to micro sense/communicate/actuate networks of chips driven by very low per-unit cost and extremely low operating power. Designers must create chips that function properly in conventional digital and mixed-signal operation, as well as comprehend sensors that respond to signals from many physical domains such as pressure, temperature, chemical, and optical. The design problem is further compounded by the introduction of many new physical phenomena determining the performance of severely scaled semiconductor devices. For example, the power and performance characteristics of transistors are becoming statistical in nature.  The probability of soft or permanent errors is much higher in the new generation of CMOS devices at 32nm or below or in new emerging non-CMOS devices.  These present unprecedented challenges to the EDA field.

In order to address these challenges, the National Science Foundation (NSF) and Semiconductor Research Corporation (SRC) held a joint workshop in October 2006 to study the future directions of design automation. Their joint recommendation was "that research in design technology and tools be increased through a National Design Initiative which focuses on three research areas:
  1. The development of a powerful new, physically-aware, system design science and methodologies to increase design productivity by one order of magnitude over current techniques for integrated systems containing billions of elements
  2. The creation of robust optimization methodologies that provide guaranteed performance of integrated systems composed of devices whose characteristics are highly variable, that operate in several different physical domains, and that have uncertain reliability
  3. A revamped, systematic, and greatly improved interface to manufacturing supporting the design of high yield systems to obtain maximum utilization of the technology."

Such an effort was deemed to be critical "to maintain U.S. leadership in design for integrated nano- and Microsystems." The second objective of the proposed EDA workshop is to review the progress made under the National Design Initiative and evaluate whether new directions and topics should be added to the Initiative, and if so, what those new directions and topics should be.  The recommendations from this workshop will help to influence the design automation funding programs at the NSF.